VCD(值变转储文件)是一个ASCII文件,它包含仿真时,范围,与信号的定义以及仿真运行过程中信号值的变化等信息。
$dumpfile 用来选择生成的VCD文件的名称
$dumpvars 用来选择要转储的模块实例或者模块实例信号
$dumpon $dumpoff 用来选择转储过程的起点和终点
$dumpall 选择生成监测点
例子:
Initial
$dumpfile(“myfile.vcd”); //将仿真信息转储到 myfile.vcd文件中
Initial
$dumpvars; //没有指定变量范围,把设计中的全部信号都转储
Initial
$dumpvars(1,top); // 转储模块实例top中的信号,数1表示层次的等级,只转储top下//第一层信号,即转储top模块中的变量,而不转储在top中调用的模块的中变量
Initial
$dumpvars(2,top.m1); //转储top.m1模块下两次的信号
Initial
$dumpvars(0,top.m1); //数0表示转储top.m1模块下的各个层次的所有信号
Initial begin
$dumpon; //启动转储过程
#10000 $dumpoff;
End
Intial
$dumpall;
1、假如没有 设置 $dumpon $dumpoff $dumpall则默认为从仿真开始时刻开始转储,到仿真结束时刻停止转储。
2、在modelsim中波形文件为*.wlf,*.wlf文件可直接在modelsim中打开。可在modelsim命令窗口中或cmd命令窗口(前提示modelsim环境变量正确设置),将 .vcd转换为.wlf
命令为:vcd2wlf test.vcd tes.wlf
test.vcd 为要转换的vcd文件,可以包含路径
test.wlf 为转换生成的wlf文件名。也可以指定路径
如:
3、以下代码就可以生成vcd文件
initial begin
$dumpfile("test.vcd");
$dumpvars(0, top);
end